23. I2C—读写EEPROM

本章参考资料:《STM32F10X-中文参考手册》I2C章节及《I2C总线协议》。

若对I2C通讯协议不了解,可先阅读《I2C总线协议》文档的内容学习。

关于EEPROM存储器,请参考“常用存储器介绍”章节,实验中的EEPROM,请参考其规格书《AT24C02》来了解。

23.1. I2C协议简介

I2C 通讯协议(Inter-Integrated Circuit)是由Phiilps公司开发的,由于它引脚少,硬件实现简单,可扩展性强, 不需要USART、CAN等通讯协议的外部收发设备,现在被广泛地使用在系统内多个集成电路(IC)间的通讯。

在计算机科学里,大部分复杂的问题都可以通过分层来简化。如芯片被分为内核层和片上外设;STM32HAL库则是在寄存器与用户代码之间的软件层。 对于通讯协议,我们也以分层的方式来理解,最基本的是把它分为物理层和协议层。物理层规定通讯系统中具有机械、电子功能部分的特性, 确保原始数据在物理媒体的传输。协议层主要规定通讯逻辑,统一收发双方的数据打包、解包标准。 简单来说物理层规定我们用嘴巴还是用肢体来交流,协议层则规定我们用中文还是英文来交流。

下面我们分别对I2C协议的物理层及协议层进行讲解。

23.1.1. I2C物理层

I2C通讯设备之间的常用连接方式见图 常见的I2C通讯系统

常见的I2C通讯系统

它的物理层有如下特点:

(1) 它是一个支持设备的总线。“总线”指多个设备共用的信号线。在一个I2C通讯总线中, 可连接多个I2C通讯设备,支持多个通讯主机及多个通讯从机。

(2) 一个I2C总线只使用两条总线线路,一条双向串行数据线(SDA) , 一条串行时钟线 (SCL)。数据线即用来表示数据,时钟线用于数据收发同步。

(3) 每个连接到总线的设备都有一个独立的地址, 主机可以利用这个地址进行不同设备之间的访问。

(4) 总线通过上拉电阻接到电源。当I2C设备空闲时,会输出高阻态, 而当所有设备都空闲,都输出高阻态时,由上拉电阻把总线拉成高电平。

(5) 多个主机同时使用总线时,为了防止数据冲突, 会利用仲裁方式决定由哪个设备占用总线。

(6) 具有三种传输模式:标准模式传输速率为100kbit/s ,快速模式为400kbit/s , 高速模式下可达 3.4Mbit/s,但目前大多I2C设备尚不支持高速模式。

(7) 连接到相同总线的 IC 数量受到总线的最大电容 400pF 限制。

23.1.2. 协议层

I2C的协议定义了通讯的起始和停止信号、数据有效性、响应、仲裁、时钟同步和地址广播等环节。

23.1.2.1. I2C基本读写过程

先看看I2C通讯过程的基本结构,它的通讯过程见图 主机写数据到从机 、 图 主机由从机中读数据 及图 I2C通讯复合格式

主机写数据到从机 主机由从机中读数据 I2C通讯复合格式

这些图表示的是主机和从机通讯时,SDA线的数据包序列。

其中S表示由主机的I2C接口产生的传输起始信号(S),这时连接到I2C总线上的所有从机都会接收到这个信号。

起始信号产生后,所有从机就开始等待主机紧接下来广播 的从机地址信号 (SLAVE_ADDRESS)。在I2C总线上, 每个设备的地址都是唯一的,当主机广播的地址与某个设备地址相同时,这个设备就被选中了,没被选中的设备将会忽略之后的数据信号。 根据I2C协议,这个从机地址可以是7位或10位。

在地址位之后,是传输方向的选择位,该位为0时,表示后面的数据传输方向是由主机传输至从机,即主机向从机写数据。该位为1时,则相反,即主机由从机读数据。

从机接收到匹配的地址后,主机或从机会返回一个应答(ACK)或非应答(NACK)信号,只有接收到应答信号后,主机才能继续发送或接收数据。

写数据

若配置的方向传输位为“写数据”方向,即第一幅图的情况,广播完地址,接收到应答信号后,主机开始正式向从机传输数据(DATA), 数据包的大小为8位,主机每发送完一个字节数据,都要等待从机的应答信号(ACK),重复这个过程,可以向从机传输N个数据, 这个N没有大小限制。当数据传输结束时,主机向从机发送一个停止传输信号(P),表示不再传输数据。

读数据

若配置的方向传输位为“读数据”方向,即第二幅图的情况,广播完地址,接收到应答信号后,从机开始向主机返回数据(DATA), 数据包大小也为8位,从机每发送完一个数据,都会等待主机的应答信号(ACK),重复这个过程,可以返回N个数据,这个N也没有大小限制。 当主机希望停止接收数据时,就向从机返回一个非应答信号(NACK),则从机自动停止数据传输。

读和写数据

除了基本的读写,I2C通讯更常用的是复合格式,即第三幅图的情况,该传输过程有两次起始信号(S)。一般在第一次传输中, 主机通过SLAVE_ADDRESS寻找到从设备后,发送一段“数据”,这段数据通常用于表示从设备内部的寄存器或存储器地址(注意区分它与SLAVE_ADDRESS的区别); 在第二次的传输中,对该地址的内容进行读或写。也就是说,第一次通讯是告诉从机读写地址,第二次则是读写的实际内容。

以上通讯流程中包含的各个信号分解如下:

23.1.2.2. 通讯的起始和停止信号

前文中提到的起始(S)和停止(P)信号是两种特殊的状态,见图 起始和停止信号。 当 SCL 线是高电平时 SDA 线从高电平向低电平切换,这个情况表示通讯的起始。 当 SCL 是高电平时 SDA 线由低电平向高电平切换,表示通讯的停止。起始和停止信号一般由主机产生。

起始和停止信号

23.1.2.3. 数据有效性

I2C使用SDA信号线来传输数据,使用SCL信号线进行数据同步。见图 数据有效性。 SDA数据线在SCL的每个时钟周期传输一位数据。传输时,SCL为高电平的时候SDA表示的数据有效,即此时的SDA为高电平时表示数据“1”, 为低电平时表示数据“0”。当SCL为低电平时,SDA的数据无效,一般在这个时候SDA进行电平切换,为下一次表示数据做好准备。

数据有效性

每次数据传输都以字节为单位,每次传输的字节数不受限制。

23.1.2.4. 地址及数据方向

I2C总线上的每个设备都有自己的独立地址,主机发起通讯时,通过SDA信号线发送设备地址(SLAVE_ADDRESS)来查找从机。 I2C协议规定设备地址可以是7位或10位,实际中7位的地址应用比较广泛。紧跟设备地址的一个数据位用来表示数据传输方向, 它是数据方向位(R/),第8位或第11位。数据方向位为“1”时表示主机由从机读数据,该位为“0”时表示主机向从机写数据。 见图 设备地址及数据传输方向

设备地址及数据传输方向

读数据方向时,主机会释放对SDA信号线的控制,由从机控制SDA信号线,主机接收信号, 写数据方向时,SDA由主机控制,从机接收信号。

23.1.2.5. 响应

I2C的数据和地址传输都带响应。响应包括“应答(ACK)”和“非应答(NACK)”两种信号。作为数据接收端时, 当设备(无论主从机)接收到I2C传输的一个字节数据或地址后,若希望对方继续发送数据,则需要向对方发送“应答(ACK)”信号, 发送方会继续发送下一个数据;若接收端希望结束数据传输,则向对方发送“非应答(NACK)”信号, 发送方接收到该信号后会产生一个停止信号,结束信号传输。见图 响应与非响应信号

响应与非响应信号

传输时主机产生时钟,在第9个时钟时,数据发送端会释放SDA的控制权,由数据接收端控制SDA, 若SDA为高电平,表示非应答信号(NACK),低电平表示应答信号(ACK)。

23.2. 软件I2C和硬件I2C

想要控制STM32产生I2C方式的通讯,可以采用软件模拟或硬件I2C这两种方式。

所谓软件模拟,即直接使用CPU内核按照I2C协议的要求控制GPIO输出高低电平。如控制产生I2C的起始信号时, 见图 起始和停止信号 ,先控制作为SCL线的GPIO引脚输出高电平, 然后控制作为SDA线的GPIO引脚在此期间完成由高电平至低电平的切换,最后再控制SCL线切换为低电平,这样就输出了一个标准的I2C起始信号。

而硬件I2C是指直接利用STM32芯片中的硬件I2C外设,该硬件I2C外设跟USART串口外设类似,只要配置好对应的寄存器, 外设就会产生标准串口协议的时序。使用它的I2C外设则可以方便地通过外设寄存器产生I2C协议方式的通讯,如初始化好I2C外设后, 只需要把某寄存器位置1,那么外设就会控制对应的SCL及SDA线自动产生I2C起始信号,而不需要内核直接控制引脚的电平。

相对来说,硬件I2C直接使用外设来控制引脚,可以减轻CPU的负担。不过使用硬件I2C时必须使用某些固定的引脚作为SCL和SDA, 软件模拟I2C则可以使用任意GPIO引脚,相对比较灵活。在本开发板中,由于STM32RCT6芯片引脚较少,资源比较紧张, 在设计硬件时不方便使用硬件I2C指定的引脚连接外部设备(EEPROM存储器芯片),所以在控制程序上只能使用软件模拟I2C的方式。 若希望学习如何使用STM32的硬件I2C外设,可以参考我们其它开发板的教程,如F103指南者、F103霸道等开发板。

23.3. I2C—读写EEPROM实验

EEPROM是一种掉电后数据不丢失的存储器,常用来存储一些配置信息,以便系统重新上电的时候加载之。 EEPOM芯片最常用的通讯方式就是I2C协议,本小节以EEPROM的读写实验为大家讲解STM32的I2C使用方法。 实验中STM32的I2C外设采用主模式,分别用作主发送器和主接收器,通过查询事件的方式来确保正常通讯。

23.3.1. 硬件设计

EEPROM硬件连接图

本实验板中的EEPROM芯片(型号:AT24C02)的SCL及SDA引脚连接到了STM32对应的I2C引脚中,结合上拉电阻, 构成了I2C通讯总线,它们通过I2C总线交互。EEPROM芯片的设备地址一共有7位, 其中高4位固定为:1010 b,低3位则由A0/A1/A2信号线的电平决定, 见图 EEPROM设备地址 ,图中的R/W是读写方向位,与地址无关。

EEPROM设备地址

按照我们此处的连接,A0/A1/A2均为0,所以EEPROM的7位设备地址是:101 0000b,即0x50。 由于I2C通讯时常常是地址跟读写方向连在一起构成一个8位数,且当R/W位为0时,表示写方向, 所以加上7位地址,其值为“0xA0”,常称该值为I2C设备的“写地址”;当R/W位为1时,表示读方向,加上7位地址,其值为“0xA1”,常称该值为“读地址”。

EEPROM芯片中还有一个WP引脚,具有写保护功能,当该引脚电平为高时,禁止写入数据,当引脚为低电平时,可写入数据,我们直接接地,不使用写保护功能。

关于EEPROM的更多信息,可参考其数据手册《AT24C02》来了解。若您使用的实验板EEPROM的型号、设备地址或控制引脚不一样, 只需根据我们的工程修改即可,程序的控制原理相同。

23.3.2. 软件设计

为了使工程更加有条理,我们把读写EEPROM相关的代码独立分开存储,方便以后移植。 在“工程模板”之上新建“bsp_i2c_gpio.c/h”及“bsp_i2c_ee.c/h”文件,这些文件也可根据您的喜好命名,它们不属于STM32HAL库的内容, 是由我们自己根据应用需要编写的。其中“bsp_i2c_gpio.c/h”文件主要是软件模拟I2C的代码,而“bsp_i2c_ee.c/h”文件主要是针对EERPOM读写的专用代码, 若以后需要软件I2C驱动其它设备(如同样使用I2C协议通讯的陀螺仪传感器MPU6050),可直接移植“bsp_i2c_gpio.c/h”文件,方便使用。

23.3.2.1. 编程要点

(1) 配置通讯使用的目标引脚为开漏模式;

(2) 编写模拟I2C时序的控制函数;

(3) 编写基本I2C按字节收发的函数;

(4) 编写读写EEPROM存储内容的函数;

(5) 编写测试程序,对读写数据进行校验。

23.3.2.2. 代码分析

I2C相关宏定义

我们把I2C硬件相关的配置都以宏的形式定义到 “bsp_i2c_gpio.h”文件中, 见 代码清单:I2C-1

代码清单:I2C-1 I2C硬件配置相关的宏(bsp_i2c_gpio.h文件)
 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
#define EEPROM_I2C_WR 0   /* 写控制bit */
#define EEPROM_I2C_RD 1   /* 读控制bit */


/* 定义I2C总线连接的GPIO端口,
用户只需要修改下面4行代码即可任意改变SCL和SDA的引脚
*/

#define I2Cx_SDA_GPIO_CLK_ENABLE()       __HAL_RCC_GPIOA_CLK_ENABLE()
#define I2Cx_SCL_GPIO_CLK_ENABLE()       __HAL_RCC_GPIOA_CLK_ENABLE()

#define EEPROM_GPIO_PORT_I2C  GPIOA     /* GPIO端口 */
#define EEPROM_I2C_SCL_PIN    GPIO_PIN_2      /* 连接到SCL时钟线的GPIO */
#define EEPROM_I2C_SDA_PIN    GPIO_PIN_3      /* 连接到SDA数据线的GPIO */

/* 定义读写SCL和SDA的宏,已增加代码的可移植性和可阅读性 */
#if 0 /* 条件编译: 1 选择GPIO的库函数实现IO读写 */

#define EEPROM_I2C_SCL_1() digitalH(EEPROM_GPIO_PORT_I2C,EEPROM_I2C_SCL_PIN)   /* SCL = 1 */
#define EEPROM_I2C_SCL_0()  digitalL(EEPROM_GPIO_PORT_I2C,EEPROM_I2C_SCL_PIN)    /* SCL = 0 */

#define EEPROM_I2C_SDA_1() digitalH(EEPROM_GPIO_PORT_I2C,EEPROM_I2C_SDA_PIN)   /* SDA = 1 */
#define EEPROM_I2C_SDA_0()  digitalL(EEPROM_GPIO_PORT_I2C,EEPROM_I2C_SDA_PIN)    /* SDA = 0 */

#define EEPROM_I2C_SDA_READ()  GPIO_ReadInputDataBit(EEPROM_GPIO_PORT_I2C, EEPROM_I2C_SDA_PIN) /* 读SDA口线状态 */

#else /* 这个分支选择直接寄存器操作实现IO读写 */

/* 注意:如下写法,在IAR最高级别优化时,会被编译器错误优化 */
#define EEPROM_I2C_SCL_1()  EEPROM_GPIO_PORT_I2C->BSRR =EEPROM_I2C_SCL_PIN       /* SCL = 1 */
#define EEPROM_I2C_SCL_0()  EEPROM_GPIO_PORT_I2C->BRR =EEPROM_I2C_SCL_PIN        /* SCL = 0 */

#define EEPROM_I2C_SDA_1()  EEPROM_GPIO_PORT_I2C->BSRR =EEPROM_I2C_SDA_PIN       /* SDA = 1 */
#define EEPROM_I2C_SDA_0()  EEPROM_GPIO_PORT_I2C->BRR =EEPROM_I2C_SDA_PIN        /* SDA = 0 */

#define EEPROM_I2C_SDA_READ()  ((EEPROM_GPIO_PORT_I2C->IDR &EEPROM_I2C_SDA_PIN) != 0)  /*读SDA口线状态 */

#endif

/* 直接操作寄存器的方法控制IO */
#define digitalH(p,i)     {p->BSRR=i;}        //设置为高电平
#define digitalL(p,i)     {p->BSRR=(uint32_t)i << 16;}//输出低电平

以上代码的前6行,根据硬件连接,把与EEPROM通讯使用的GPIO引脚号都以宏封装起来,以便初始化GPIO模式的时候使用。

第6行后的代码,被条件编译宏“#if…#else…#endif”分成了两部分,当“#if ”中的条件成立,使用第一部分代码,否则使用第二部分代码。 这两部分代码实现的功能完全一样,都是定义了一些宏,用于控制作为SCL、SDA线的GPIO输出高低电平,以及读取SDA线引脚的电平, 这些功能在软件模拟I2C的时候用于产生时序,收发数据,实现I2C协议。这两部分的主要区别是第一部分使用库函数来控制引脚, 代码比较易于理解,而第二部分则直接通过寄存器来控制引脚,它减少了函数调用的开销,在软件模拟I2C时, 这些宏会被频繁调用,所以在本工程中使用第二部分的代码。

初始化I2C的 GPIO

利用上面的宏,编写I2C GPIO引脚的初始化函数,见 代码清单:I2C-2

代码清单:I2C-2 I2C GPIO初始化函数(bsp_i2c_gpio.c文件)
 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
void i2c_CfgGpio(void)
{
    GPIO_InitTypeDef  GPIO_InitStruct;
    /* Enable GPIO TX/RX clock */
    I2Cx_SCL_GPIO_CLK_ENABLE();
    I2Cx_SDA_GPIO_CLK_ENABLE();

    /* I2C TX GPIO pin configuration  */
    GPIO_InitStruct.Pin       = EEPROM_I2C_SCL_PIN |
                                EEPROM_I2C_SDA_PIN;
    GPIO_InitStruct.Mode      = GPIO_MODE_OUTPUT_OD;
    GPIO_InitStruct.Pull      = GPIO_NOPULL;
    GPIO_InitStruct.Speed     = GPIO_SPEED_FREQ_HIGH;

    HAL_GPIO_Init(EEPROM_GPIO_PORT_I2C, &GPIO_InitStruct);

    /* 给一个停止信号,
    复位I2C总线上的所有设备到待机模式 */
    i2c_Stop();
}

同为外设使用的GPIO引脚初始化,初始化的流程与“串口初始化函数”章节中的类似,主要区别是引脚的模式。函数执行流程如下:

(1) 使用GPIO_InitTypeDef定义GPIO初始化结构体变量, 以便下面用于存储GPIO配置;

(2) 调用宏I2Cx_CLK_ENABLE()使能I2C外设时钟, 调用宏定义I2Cx_SCL_GPIO_CLK_ENABLE()和I2Cx_SDA_GPIO_CLK_ENABLE()来使能I2C引脚使用的GPIO端口时钟。

(3) 向GPIO初始化结构体赋值,把引脚初始化成复用开漏模式, 要注意I2C的引脚必须使用这种模式。

(4) 使用以上初始化结构体的配置, 调用HAL_GPIO_Init函数向寄存器写入参数,完成GPIO的初始化。

起始停止信号及速率配置

初始化好GPIO引脚后,就可以利用前面编写的宏来控制产生I2C标准协议所需要的时序了, 下面先讲解如何产生I2C的起始及停止信号,见 代码清单:I2C-3

代码清单:I2C-3起始停止信号及速率配置(bsp_i2c_gpio.c文件)
 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
/*
************************************************
* 函 数 名: i2c_Delay
* 功能说明: I2C总线位延迟,最快400KHz
* 形    参:无
* 返 回 值: 无
************************************************
*/
static void i2c_Delay(void)
{
    uint8_t i;

    /*
    下面的时间是通过逻辑分析仪测试得到的。
    工作条件:CPU主频72MHz ,MDK编译环境,1级优化
    循环次数为10时,SCL频率 = 205KHz
    循环次数为7时,SCL频率 = 347KHz, SCL高电平时间1.5us,SCL低电平时间2.87us
    循环次数为5时,SCL频率 = 421KHz, SCL高电平时间1.25us,SCL低电平时间2.375us
    */
    for (i = 0; i < 10; i++);
}

/*
***********************************************
* 函 数 名: i2c_Start
* 功能说明: CPU发起I2C总线启动信号
* 形    参:无
* 返 回 值: 无
***********************************************
*/
void i2c_Start(void)
{
    /* 当SCL高电平时,SDA出现一个下跳沿表示I2C总线启动信号 */
    EEPROM_I2C_SDA_1();
    EEPROM_I2C_SCL_1();
    i2c_Delay();
    EEPROM_I2C_SDA_0();
    i2c_Delay();
    EEPROM_I2C_SCL_0();
    i2c_Delay();
}

/*
***********************************************
* 函 数 名: i2c_Start
* 功能说明: CPU发起I2C总线停止信号
* 形    参:无
* 返 回 值: 无
***********************************************
*/
void i2c_Stop(void)
{
    /* 当SCL高电平时,SDA出现一个上跳沿表示I2C总线停止信号 */
    EEPROM_I2C_SDA_0();
    EEPROM_I2C_SCL_1();
    i2c_Delay();
    EEPROM_I2C_SDA_1();
}

上述代码首先定义了一个i2c_Delay函数,该函数主要用于I2C模拟输出信号电平的延时,如控制SDA输出高电平后调用i2c_Delay延时, 再控制SDA输出低电平,就达到了SDA维持高电平一段时间后切换的效果,而电平切换的延时会影响到I2C时序的通讯速率。在i2c_Delay函数内部, 实际是直接使用了一个无实际操作、纯粹消耗时间的for循环,以此达到延时目的。该延时函数配置的循环次数是个经验值, 在不同的芯片平台或主频不同的情况下,延时的时间会存在差异,我们使用逻辑分析仪测出了i2c_Delay函数在不同情况下对整个模拟I2C时序波特率的影响, 具体的波特率见函数中的注释。

代码中的i2c_Start函数,用于控制SCL、SDA线产生I2C协议的起始信号,它利用“bsp_i2c_gpio.h”文件中的宏控制SDA、SCL线输出高低电平, 而这输出高低电平的逻辑完全与图 起始信号分解图 中解说的I2C协议起始信号的时序一样, 见图 起始信号分解图 对起始信号的分解, 分解后的每个步骤实际就是i2c_Start函数内部对GPIO引脚的操作。

起始信号分解图

类似地,代码中的i2c_Stop函数用于控制SCL、SDA线产生I2C协议的停止信号, 停止信号的分解见图 停止信号分解图 , i2c_Stop函数就是按该分解步骤控制GPIO的电平逻辑,实现停止信号。

停止信号分解图

发送和接收一个字节

I2C通讯的主体是收发数据,实现时主要是根据I2C协议数据有效性的要求, 读取或控制SDA信号线的电平,见 代码清单:I2C-4

代码清单:I2C-4 收发数据(bsp_i2c_gpio.c文件)
 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
/*
*************************************************
* 函 数 名: i2c_SendByte
* 功能说明: CPU向I2C总线设备发送8bit数据
* 形    参:_ucByte : 等待发送的字节
* 返 回 值: 无
*************************************************
*/
void i2c_SendByte(uint8_t _ucByte)
{
    uint8_t i;

    /* 先发送字节的高位bit7 */
    for (i = 0; i < 8; i++) {
        if (_ucByte & 0x80) {
            EEPROM_I2C_SDA_1();
        } else {
            EEPROM_I2C_SDA_0();
        }
        i2c_Delay();
        EEPROM_I2C_SCL_1();
        i2c_Delay();
        EEPROM_I2C_SCL_0();
        if (i == 7) {
            EEPROM_I2C_SDA_1(); // 释放总线
        }
        _ucByte <<= 1;  /* 左移一个bit */
        i2c_Delay();
    }
}

/*
**********************************************
* 函 数 名: i2c_ReadByte
* 功能说明: CPU从I2C总线设备读取8bit数据
* 形    参:无
* 返 回 值: 读到的数据
**********************************************
*/
uint8_t i2c_ReadByte(void)
{
    uint8_t i;
    uint8_t value;

    /* 读到第1个bit为数据的bit7 */
    value = 0;
    for (i = 0; i < 8; i++) {
        value <<= 1;
        EEPROM_I2C_SCL_1();
        i2c_Delay();
        if (EEPROM_I2C_SDA_READ()) {
            value++;
        }
        EEPROM_I2C_SCL_0();
        i2c_Delay();
    }
    return value;
}

以发送函数i2c_SendByte为例,该函数以其输入参数作为要使用I2C协议输出的数据,该数据大小为一字节。 函数的主体是一个8次的for循环,循环体执行一次将会对外发送一个数据位,循环结束时刚好发送完该字节数据。

循环体每位数据的发送逻辑见图 数据有效性分解图 ,步骤分解如下:

(1) 程序对输入参数_ucByte和0x80“与”运算, 判断其最高位的逻辑值,为1时控制SDA输出高电平,为0则控制SDA输出低电平;

(2) 延时,以此保证SDA线输出的电平已稳定, 再进行后续操作;

(3) 控制SCL线产生高低电平跳变, 也就是产生I2C协议中SCL线的通讯时钟;

(4) 在SCL线高低电平之间有个延时,该延时期间SCL线维持高电平, 根据I2C协议,此时数据有效,通讯的另一方会在此时读取SDA线的电平逻辑,高电平时接收到该位为数据1,否则为0;

(5) 一次循环体执行结束, _ucByte左移一位以便下次循环发送下一位的数据;

(6) 如次循环8次,把_ucByte中的8位个数据位发送完毕,在最后一位发送完成后(此时循环计数器i=7), 控制SDA线输出1(即高阻态),也就是说发送方释放SDA总线,等待接收方的应答信号;

(7) 本驱动程序中,检测应答信号由另一个独立函数i2c_WaitAck完成(后面再分析), 此处释放SDA总线后直接退出循环和函数。

数据有效性分解图

i2c_ReadByte函数的控制过程刚好反过来,不过由于本程序STM32都是作为I2C协议的通讯主机,所以SCL线的时钟信号依然是由STM32来控制产生的, 即图 数据有效性分解图 中的SDA线将由另一端的发送方控制, STM32控制SCL产生通讯时钟,并在数据有效时读取SDA线的信号获得数据。

i2c_ReadByte函数也是以for循环为主体,循环体会被执行8次,执行完毕后将会接收到一个字节的数据,循环体接收数据的流程如下:

(1) 使用一个变量value暂存要接收的数据,每次循环开始前先对value的值左移1位, 以给value变量的bit0腾出空间,bit0将用于缓存最新接收到的数据位,一位一位地接收并移位,最后拼出完整的8位数据;

(2) 控制SCL线进行高低电平切换, 输出I2C协议通讯用的时钟;

(3) 在SCL线高低电平切换之间,有个延时,该延时确保给予了足够的时间让数据发送方进行处理, 即发送方在SCL时钟驱动下通过SDA信号线发出电平逻辑信号,而这个延时之后,作为数据接收端的STM32使用宏EEPROM_I2C_SDA_READ读取SDA信号线的电平, 若信号线为1,则value++,即把它的bit0置1,否则不操作(这样该位将保持为0),这样就读取到了一位的数据;

(4) SCL线切换成低电平后,加入延时, 以便接收端根据需要切换SDA线输出数据;

(5) 循环结束后,value变量中包含有1个字节数据, 使用return把它作为函数返回值返回;

(6) 本驱动程序中,作为接收端回复发送端的应答和非应答信号由i2c_Ack及i2c_NAck函数完成(后面再分析), 此处接收完8位数据后直接返回数据退出函数。

响应处理及返回

一次基本的I2C协议通讯过程包含起始信号、收或发8个数据位的数据、1个数据位的响应以及结束信号信号组成, 这些环节中剩下最后的响应处理及返回将由 代码清单:I2C-5 中的程序完成。

代码清单:I2C-5 响应处理及返回(bsp_i2c_gpio.c文件)
 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
/*
*************************************************
* 函 数 名: i2c_WaitAck
* 功能说明:
CPU产生一个时钟,并读取器件的ACK应答信号
* 形    参:无
* 返 回 值: 返回0表示正确应答,1表示无器件响应
*************************************************
*/
uint8_t i2c_WaitAck(void)
{
    uint8_t re;

    EEPROM_I2C_SDA_1(); /* CPU释放SDA总线 */
    i2c_Delay();
    EEPROM_I2C_SCL_1(); /* CPU驱动SCL = 1,
                    此时器件会返回ACK应答 */
    i2c_Delay();
    if (EEPROM_I2C_SDA_READ()) {  /* CPU读取SDA口线状态 */
        re = 1;
    } else {
        re = 0;
    }
    EEPROM_I2C_SCL_0();
    i2c_Delay();
    return re;
}

/*
************************************************
* 函 数 名: i2c_Ack
* 功能说明: CPU产生一个ACK信号
* 形    参:无
* 返 回 值: 无
************************************************
*/
void i2c_Ack(void)
{
    EEPROM_I2C_SDA_0(); /* CPU驱动SDA = 0 */
    i2c_Delay();
    EEPROM_I2C_SCL_1(); /* CPU产生1个时钟 */
    i2c_Delay();
    EEPROM_I2C_SCL_0();
    i2c_Delay();
    EEPROM_I2C_SDA_1(); /* CPU释放SDA总线 */
}

/*
**********************************************
* 函 数 名: i2c_NAck
* 功能说明: CPU产生1个NACK信号
* 形    参:无
* 返 回 值: 无
**********************************************
*/
void i2c_NAck(void)
{
    EEPROM_I2C_SDA_1(); /* CPU驱动SDA = 1 */
    i2c_Delay();
    EEPROM_I2C_SCL_1(); /* CPU产生1个时钟 */
    i2c_Delay();
    EEPROM_I2C_SCL_0();
    i2c_Delay();
}

阅读下面的解释时请配合前面的图 响应与非响应信号 来理解,该图描述了I2C协议的响应信号时序。

首先是i2c_WaitAck函数,该函数用于STM32作为发送方时,等待及处理接收方传来的响应或非响应信号, 即一般调用前面的i2c_SendByte函数后,再调用本函数检测响应。函数的实现如下:

(1) STM32控制SDA信号线输出高阻态, 释放它对SDA的控制权,由接收方控制;

(2) 控制SCL信号线切换高低电平,产生一个时钟信号,根据I2C协议,此时接收方若把SDA设置为低电平, 就表示返回一个“应答”信号,若SDA保持为高电平,则表示返回一个“非应答 ”信号;

(3) 在SCL切换高低电平之间,有个延时确保给予了足够的时间让接收方返回应答信号, 延时后使用宏EEPROM_I2C_SDA_READ读取SDA线的电平,根据电平值赋予re变量的值;

(4) 函数的最后返回re的值, 接收到响应时返回0,未接收到响应时返回1。

当STM32作为数据接收端,调用i2c_ReadByte函数后,需要给发送端返回应答或非应答信号, 此时可使用i2c_Ack及i2c_Nack函数处理,该处理与i2c_WaitAck函数相反,此时SDA线也由STM32控制,说明如下:

(1) 根据要返回“应答”还是“非应答”信号,先准备好SDA线的电平,i2c_Ack函数中把SDA线设置为低电平, 表示“应答”信号,i2c_Nack函数中把SDA线设置为高电平,表示“非应答”信号;

(2) 控制SCL线进行高低电平切换,产生一个时钟信号,在SCL线的高低电平之间加入一个延时, 确保有足够的时间让通讯的另一方接收到SDA信号线的电平;

(3) 在i2c_Ack函数的末尾,响应信号发送结束后, 重新把SDA线设置为高电平以释放总线的控制权,方便后续的通讯。

以上就是软件模拟I2C协议的各个环节,可以看到,各个环节都是配合延时,使用内核直接控制SDA、SCL信号线GPIO的电平逻辑, 产生符合I2C通讯协议的时序。若使用STM32的硬件I2C,初始化I2C外设后,调用库函数设置I2C外设的寄存器位即可产生以上各环节的起始、停止、 应答及非应答信号,收发数据时也可以直接通过读写I2C的数据寄存器完成,相对而言减轻了内核的负担。

检测EEPROM的响应及单字节写入

有了以上软件模拟I2C的各个环节后,就可以利用它们进行I2C通讯了,我们看看如何与EEPROM通讯, 见 代码清单:I2C-6

代码清单:I2C-6 检测EEPROM的响应
 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
/**bsp_i2c_ee.h文件**/
/* 24xx02的设备地址 */
#define EEPROM_DEV_ADDR     0xA0

/**bsp_i2c_gpio.h文件**/
#define EEPROM_I2C_WR 0   /* 写控制bit */
#define EEPROM_I2C_RD 1   /* 读控制bit */

/**bsp_i2c_ee.c文件**/
/*
***************************************************
* 函 数 名: i2c_CheckDevice
* 功能说明: 检测I2C总线设备,CPU向发送设备地址,
            然后读取设备应答来判断该设备是否存在
* 形    参:_Address:设备的I2C总线地址
* 返 回 值: 返回值 0 表示正确, 返回1表示未探测到
***************************************************
*/
uint8_t ee_CheckDevice(uint8_t _Address)
{
    uint8_t ucAck;

    i2c_Start();    /* 发送启动信号 */

    /* 发送设备地址+读写控制bit(0 = w, 1 = r) bit7
                                                先传 */
    i2c_SendByte(_Address | EEPROM_I2C_WR);
    ucAck = i2c_WaitAck();  /* 检测设备的ACK应答 */

    i2c_Stop();     /* 发送停止信号 */

    return ucAck;
}

以上代码涉及到几个宏,首先是EEPROM_DEV_ADDR(宏值为0XA0), 这是EERPOM的设备地址,该地址与EEPROM的硬件接法有关, 可参考前面图 EEPROM设备地址 中的说明理解, 此设备地址是一个8位写地址,即bit0代表写方向。在应用时, 一般还与下面的宏EEPROM_I2C_WR(宏值为0)及EEPROM_I2C_RD(宏值为1)配合,如“EEPROM_DEV_ADDR | EEPROM_I2C_WR”这个或运算仍然表示8位的写方向地址(运算结果的bit0=0), 而“EEPROM_DEV_ADDR | EEPROM_I2C_RD”则用来表示8位的读方向地址(运算结果的bit0=1)。

这段做代码的核心是ee_CheckDevice函数,它接收一个_Address参数作为要访问的设备地址,其函数内部过程如下:

(1) 使用i2c_Start函数产生一个I2C起始信号;

(2) 调用i2c_SendByte函数把设备地址_Address发送出去,发送时使用宏EEPROM_I2C_WR与_Address作“或”运算, 表示它向I2C总线上广播寻找地址为_Address的设备,若总线上有该设备且工作正常,该设备将按照I2C协议返回一个应答信号;

(3) 调用i2c_WaitAck函数检测应答信号, 若检测到,说明EEPROM工作正常;

(4) 检测响应后调用i2c_Stop发送停止信号, 结束本次通讯;

(5) 把响应结果作为EEPROM检测结果返回, 为0时表示EEPROM正常,为1表示异常。

这个函数实现的过程其实是图 EEPROM单字节写入时序 中的一部分, 该图展示了如何向ERPOM写入一个字节的数据,此处我们仅使用了开头发送设备地址的一段,主要是为了获取EEPROM响应以判断EEPROM是否正常, 不需要后续的数据写入过程,所以在响应之后就发送结束信号关闭此次通讯。

EEPROM单字节写入时序

在完整的单字节写入通讯过程中,I2C主机(此处为STM32)发送的第1个字节为EEPROM的设备地址,用于从I2C总线上的众多设备中寻找到EEPROM, 得到EEPROM的响应后,再发送第2个字节,当EEPROM接收到这个字节后, 会把它理解成将要写入的EEPROM内存地址(即EEPROM内部的0-255个存储单元,它指定要存储在哪),第3个字节是要写入的数据内容。

对于STM32来说,无论是EEPROM的设备地址、内存地址还是要写入的数据,都是一个数值而已,本质都是一样的。 它们都可使用i2c_SendByte函数一个字节一个字节地发送出去,而在EEPROM端,对数值的解释不同,它就分别代表了设备地址、内存地址及要写入的数据。

关于EEPROM的单字节写入,由于它比下面介绍的“页写入”效率要低得多,所以在本工程中都使用“页写入”函数来代替,就没有编写专门的单字节写入的代码了。

EEPROM的页写入及状态等待

在单字节写入的数据通讯中,每写入一个数据都需要向EEPROM发送写入的内存地址,我们希望向连续地址写入多个数据的时候, 只要告诉EEPROM第一个内存地址address1,后面的数据按次序写入到address2、address3… 这样可以节省通讯的时间,加快速度。为应对这种需求, EEPROM定义了一种页写入时序,见图 EEPROM页写入时序

EEPROM页写入时序

根据页写入时序,第一个数据被解释为要写入的内存地址address1,后续可连续发送n个数据,这些数据会依次写入到内存中。 其中AT24C02型号的芯片页写入时序最多可以一次发送8个数据(即n = 8),该值也称为页大小,某些型号的芯片每个页写入时序最多可传输16个数据。 EEPROM的页写入代码实现见 代码清单:I2C-7

代码清单:I2C-7 EEPROM的页写入及状态等待
  1
  2
  3
  4
  5
  6
  7
  8
  9
 10
 11
 12
 13
 14
 15
 16
 17
 18
 19
 20
 21
 22
 23
 24
 25
 26
 27
 28
 29
 30
 31
 32
 33
 34
 35
 36
 37
 38
 39
 40
 41
 42
 43
 44
 45
 46
 47
 48
 49
 50
 51
 52
 53
 54
 55
 56
 57
 58
 59
 60
 61
 62
 63
 64
 65
 66
 67
 68
 69
 70
 71
 72
 73
 74
 75
 76
 77
 78
 79
 80
 81
 82
 83
 84
 85
 86
 87
 88
 89
 90
 91
 92
 93
 94
 95
 96
 97
 98
 99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
/*bsp_i2c_ee.h文件*/
/* 24xx02的页面大小 */
#define EEPROM_PAGE_SIZE      8

/*bsp_i2c_ee.c文件*/
/*
****************************************************
* 函 数 名: ee_WaitStandby
* 功能说明: 等待EEPROM到准备状态,在写入数据后,必须调用本函数
            写入操作时,使用I2C把数据传输到EEPROM后,
            EEPROM会向内部空间写入数据需要一定的时间,
            当EEPROM内部写入完成后会对I2C的设备寻址有响应,
            调用本函数可等待至EEPROM内部时序写入完毕
* 形    参:无
* 返 回 值: 0表示正常,1表示等待超时
****************************************************
*/
uint8_t ee_WaitStandby(void)
{
    uint32_t wait_count = 0;

    while (ee_CheckDevice(EEPROM_DEV_ADDR)) {
        //若检测超过次数,退出循环
        if (wait_count++>0xFFFF) {
            //等待超时
            return 1;
        }
    }
    //等待完成
    return 0;
}

/*
****************************************************
* 函 数 名: ee_WriteBytes
* 功能说明: 向串行EEPROM指定地址写入若干数据,采用页写操作提高写入效率
* 形    参:_usAddress : 起始地址
*          _usSize     : 数据长度,单位为字节
*          _pWriteBuf  : 存放读到的数据的缓冲区指针
* 返 回 值: 0 表示失败,1表示成功
****************************************************
*/
uint8_t ee_WriteBytes(uint8_t *_pWriteBuf, uint16_t _usAddress,
uint16_t _usSize)
{
    uint16_t i,m;
    uint16_t usAddr;

    /*
    写串行EEPROM不像读操作可以连续读取很多字节,每次写操作只能在同一个page。
    对于24xx02,page size = 8
    简单的处理方法为:按字节写操作模式,每写1个字节,都发送地址
    为了提高连续写的效率: 本函数采用page wirte操作。
    */

    usAddr = _usAddress;
    for (i = 0; i < _usSize; i++) {
        /* 当发送第1个字节或是页面首地址时,需要重新发起启动信号和地址 */
        if ((i == 0) || (usAddr & (EEPROM_PAGE_SIZE - 1)) == 0) {
            /* 第0步:发停止信号,结束上一页的通讯,准备下一次通讯 */
            i2c_Stop();

            /* 通过检查器件应答的方式,判断内部写操作是否完成,一般小于 10ms
            CLK频率为200KHz时,查询次数为30次左右
            原理同 ee_WaitStandby 函数,但该函数检查完成后会产生停止信号,
            不适用于此处*/
            for (m = 0; m < 1000; m++) {
                /* 第1步:发起I2C总线启动信号 */
                i2c_Start();

            /* 第2步:发起控制字节,高7bit是地址,bit0是读写控制位,0表示写,1表示读 */
                i2c_SendByte(EEPROM_DEV_ADDR | EEPROM_I2C_WR);  /* 此处是写指令 */

                /* 第3步:发送一个时钟,判断器件是否正确应答 */
                if (i2c_WaitAck() == 0) {
                    break;
                }
            }
            if (m  == 1000) {
                goto cmd_fail;  /* EEPROM器件写超时 */
            }

            /* 第4步:发送字节地址,24C02只有256字节,因此1个字节就够了,
            如果是24C04以上,那么此处需要连发多个地址 */
            i2c_SendByte((uint8_t)usAddr);

            /* 第5步:等待ACK */
            if (i2c_WaitAck() != 0) {
                goto cmd_fail;  /* EEPROM器件无应答 */
            }
        }

        /* 第6步:开始写入数据 */
        i2c_SendByte(_pWriteBuf[i]);

        /* 第7步:发送ACK */
        if (i2c_WaitAck() != 0) {
            goto cmd_fail;  /* EEPROM器件无应答 */
        }

        usAddr++; /* 地址增1 */
    }

    /* 命令执行成功,发送I2C总线停止信号 */
    i2c_Stop();

    //等待最后一次EEPROM内部写入完成
    if (ee_WaitStandby() == 1)
        goto cmd_fail;

    return 1;

cmd_fail: /* 命令执行失败后,切记发送停止信号,避免影响I2C总线上其他设备 */
    /* 发送I2C总线停止信号 */
    i2c_Stop();
    return 0;
}

这段代码中包含了一个ee_WaitStandby函数,它的作用是等待EEPROM准备就绪。当EEPROM接收完STM32传来要写入的数据后(即一次I2C通讯完毕), 它会启动内部时序,往存储单元内写入接收到的数据,而这个过程需要花费一点时间,在此期间EEPROM不会响应外部的任何I2C信号, 所以下一次操作前需要确保EEPROM内部写入时序完成。ee_WaitStandby函数就实现了这样的功能,它直接循环调用ee_CheckDevice函数, 前面已经讲解了ee_CheckDevice函数的功能是发送EEPROM设备地址然后检查响应,所以此处实质是循环等待直至获取到EEPROM的响应信号, 或检测超过次数认为响应失败,一旦获取到EEPROM的响应即可认为它的内部写入时序完成,可以进行后续操作。

接下来分析ee_WriteBytes函数,它接收三个输入参数:_pWriteBuf、_usAddress和_usSize分别表示要存储到EEPROM的数据, 要存储到EEPROM的存储单元起始地址及要存储多少个数据,函数的执行流程如下:

(1) 整个函数的操作主要由第58行的for循环完成, 它循环_usSize次,即有多少个要发送的数据它就执行多少次, 每次循环会发送一个字节的数据;

(2) 由于本函数采用的是页写入方式,一个发送过程最多可以发送8个字节的数据, 所以每发送8个数据后发送一次起始信号、设备地址及内存地址即可,第60行的if就是作这样的判断,每发送完一页数据EEPROM_PAGE_SIZE(8个字节), 那么条件成立,执行第60-90行的if分支;

(3) 在这个分支中,先调用i2c_Stop函数, 结束上一次的页写入过程,准备下一次通讯;

(4) 在第68行的for循环,使用i2c_Start、i2c_SendByte及i2c_WaitAck函数产生新的一次起始信号、 对EEPROM设备寻址并检测EEPROM是否有响应, 若有响应跳出这个循环,若尝试了1000次还没接收到响应则认为EEPROM寻址超时,可能存在硬件错误, 使用goto跳转到函数尾的错误处理并退出函数。此处使用for循环跟前面等待EEPROM内部写入时序完成的ee_WaitStandby函数思路是一样的, 它等待至上一次写入完成后才进行下次的操作,不直接调用ee_WaitStandby函数是因为该函数检测后会发送I2C停止信号,而此处希望等待完成后进行后续的页写入操作;

(5) 若检测到EEPROM响应,本函数将执行到第86行, 这里调用i2c_SendByte函数发送将要写入的EEPROM内部存储单元地址,发送后检查应答;

(6) 若一切顺利,就可以开始发送数据了,程序执行到第95行, 注意这里的代码已经不属于前面第60行if语句每8个数据执行一次的条件执行区域了,所以这个i2c_SendByte将在每个循环执行一次, 它把输入参数_pWriteBuf存储的数据通过I2C协议发送出去,而EEPROM也将把这些数值写入到对应的存储单元中;

(7) 第102行的usAddr地址变量自增1,该变量参与到前面第60行if语句判断中, 每8个循环执行一次,并把该地址发送给EEPORM;

(8) 第106行,已经退出发送数据循环,所有数据已经发送完成, 这里调用i2c_Stop结束最后一次页写入;

(9) 第109行,调用ee_WaitStandby函数等待最后一次页写入操作的内部时序完成, 防止调用本函数后用户立刻执行其它EEPROM操作时无响应,等待结束后使用return退出函数;

(10) 第114行是标号cmd_fail的处理,前面写入过程产生各种错误后都跳转至此处, 调用i2c_Stop函数发送停止信号,释放I2C总线,然后使用return退出函数。

从EEPROM读取数据

相对来说,从EEPROM读取数据要比写入过程复杂一些,因为它是一个复合的I2C时序,它实际上包含一个I2C协议基本的写过程和一个基本读过程, 见图 EEPROM数据读取时序

EEPROM数据读取时序

这个复合时序产生了两次起始信号,发送了两次设备地址,但这两次设备地址bit0位表示的读写方向是不同的:第一个通讯过程中, 使用I2C发送设备地址寻址(写方向),接着发送要读取的“内存地址”;第二个通讯过程中,再次使用I2C发送设备地址寻址,但这个时候的数据方向是读方向; 在这个过程之后,EEPROM会向主机返回从“内存地址”开始的数据,一个字节一个字节地传输,只要主机的响应为“应答信号”, 它就会一直传输下去,主机想结束传输时,就发送“非应答信号”,并以“停止信号”结束通讯,作为从机的EEPROM也会停止传输。 实现代码见 代码清单:I2C-8

代码清单:I2C-8 从EEPROM读取数据
 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
/*
****************************************************
* 函 数 名: ee_ReadBytes
* 功能说明: 从串行EEPROM指定地址处开始读取若干数据
* 形    参:_usAddress : 起始地址
    *      _usSize     : 数据长度,单位为字节
    *      _pReadBuf   : 存放读到的数据的缓冲区指针
* 返 回 值: 0 表示失败,1表示成功
****************************************************
*/
uint8_t ee_ReadBytes(uint8_t *_pReadBuf, uint16_t _usAddress,
                    uint16_t _usSize)
{
    uint16_t i;

    /* 采用串行EEPROM随即读取指令序列,连续读取若干字节 */

    /* 第1步:发起I2C总线启动信号 */
    i2c_Start();

    /* 第2步:发起控制字节,高7bit是地址,bit0是读写控制位,0表示写,1表示读 */
    i2c_SendByte(EEPROM_DEV_ADDR | EEPROM_I2C_WR);  /* 此处是写方向 */

    /* 第3步:等待ACK */
    if (i2c_WaitAck() != 0) {
        goto cmd_fail;  /* EEPROM器件无应答 */
    }

    /* 第4步:发送字节地址,24C02只有256字节,因此1个字节就够了,
    如果是24C04以上,那么此处需要连发多个地址 */
    i2c_SendByte((uint8_t)_usAddress);

    /* 第5步:等待ACK */
    if (i2c_WaitAck() != 0) {
        goto cmd_fail;  /* EEPROM器件无应答 */
    }

    /* 第6步:重新启动I2C总线。
    前面的代码的目的向EEPROM传送地址,下面开始读取数据 */
    i2c_Start();

    /* 第7步:发起控制字节,高7bit是地址,bit0是读写控制位,
    0表示写,1表示读 */
    i2c_SendByte(EEPROM_DEV_ADDR | EEPROM_I2C_RD);  /* 此处是读指令 */

    /* 第8步:发送ACK */
    if (i2c_WaitAck() != 0) {
        goto cmd_fail;  /* EEPROM器件无应答 */
    }

    /* 第9步:循环读取数据 */
    for (i = 0; i < _usSize; i++) {
        _pReadBuf[i] = i2c_ReadByte();  /* 读1个字节 */

        /* 每读完1个字节后,需要发送Ack, 最后一个字节不需要Ack,发Nack */
        if (i != _usSize - 1) {
            i2c_Ack();  /* 中间字节读完后,CPU产生ACK信号(驱动SDA = 0) */
        } else {
            i2c_NAck(); /* 最后1个字节读完后,CPU产生NACK信号(驱动SDA = 1)

        }
    }
    /* 发送I2C总线停止信号 */
    i2c_Stop();
    return 1; /* 执行成功 */

cmd_fail: /* 命令执行失败后,切记发送停止信号,避免影响I2C总线上其他设备 */
    /* 发送I2C总线停止信号 */
    i2c_Stop();
    return 0;
}

这段读取EEPROM内容的ee_ReadBytes函数写过程跟前面的写入函数类似:

(1) 产生第一次起始信号, 并发送EEPROM设备地址,地址中的bit0表示写方向;

(2) 接收到应答后, 向EEPROM发送要读取的存储单元地址;

(3) 接收到应答后,产生第二次起始信号,发送EEPROM的设备地址, 地址中的bit0表示读方向,注意两次起始信号间没有停止信号, 这依然是同一次通讯过程;

(4) 在for循环中, 连续调用i2c_ReadByte函数一个字节一个字节地接收EEPROM返回的数据内容并存储到数组中;

(5) 接收到足够的数据后, 产生停止信号,退出函数。

EEPROM读写测试函数

完成基本的读写函数后,接下来我们编写一个读写测试函数来检验驱动程序,见 代码清单:I2C-9

代码清单:I2C-9 EEPROM读写测试函数
 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
/*
* eeprom AT24C02 读写测试
* 正常返回1,异常返回0
*/
uint8_t ee_Test(void)
{
    uint16_t i;
    uint8_t write_buf[EEPROM_SIZE];
    uint8_t read_buf[EEPROM_SIZE];

    /*----------------------------------------------------*/
    if (ee_CheckDevice(EEPROM_DEV_ADDR) == 1) {
        /* 没有检测到EEPROM */
        printf("没有检测到串行EEPROM!\r\n");

        return 0;
    }
    /*----------------------------------------------------*/
    /* 填充测试缓冲区 */
    for (i = 0; i < EEPROM_SIZE; i++) {
        write_buf[i] = i;
    }
    /*----------------------------------------------------*/
    if (ee_WriteBytes(write_buf, 0, EEPROM_SIZE) == 0) {
        printf("写eeprom出错!\r\n");
        return 0;
    } else {
        printf("写eeprom成功!\r\n");
    }

    /*----------------------------------------------------*/
    if (ee_ReadBytes(read_buf, 0, EEPROM_SIZE) == 0) {
        printf("读eeprom出错!\r\n");
        return 0;
    } else {
        printf("读eeprom成功,数据如下:\r\n");
    }
    /*----------------------------------------------------*/
    for (i = 0; i < EEPROM_SIZE; i++) {
        if (read_buf[i] != write_buf[i]) {
            printf("0x%02X ", read_buf[i]);
            printf("错误:EEPROM读出与写入的数据不一致");
            return 0;
        }
        printf(" %02X", read_buf[i]);

        if ((i & 15) == 15) {
            printf("\r\n");
        }
    }
    printf("eeprom读写测试成功\r\n");
    return 1;
}

在这个测试函数中先调用了ee_CheckDevice函数检测EEPROM的响应,通过是否接收到响应来判断EEPROM是否工作正常; 接着填充一个数组,数组的内容为0,1,2,3至N,然后把这个数组的内容写入到EEPROM中,写入完毕后再从EEPROM的地址中读取数据, 把读取得到的与写入的数据进行校验,若一致说明读写正常,否则读写过程有问题或者EEPROM芯片不正常。

23.3.2.3. main文件

最后编写main函数,函数中初始化串口、I2C外设,然后调用上面的ee_Test函数进行读写测试, 见 代码清单:I2C-10

代码清单:I2C-10 main函数
 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
int main(void)
{
    HAL_Init();
    /* 配置系统时钟为72 MHz */
    SystemClock_Config();

    /*初始化USART 配置模式为 115200 8-N-1,中断接收*/
    DEBUG_USART_Config();

    printf("\r\n 这是一个EEPROM 读写小数和长整数实验\r\n");

    /* I2C 外设初(AT24C02)始化 */
    i2c_CfgGpio();

    /*读取数据标志位*/
    ee_ReadBytes(&cal_flag, 0, 1);

    if ( cal_flag != 0xCD ) {
        /*若标志等于0xcd,表示之前已有写入数据*/
        printf("\r\n没有检测到数据标志,FLASH没有存储数据,即将进行小数写入实验\r\n");
        cal_flag =0xCD;

        /*写入标志到0地址*/
        ee_WriteBytes(&cal_flag, 0, 1);

        /*生成要写入的数据*/
        for ( k=0; k<7; k++ ) {
            double_buffer[k] = k +0.1;
            int_bufffer[k]=k*500+1 ;
        }

        /*写入小数数据到地址10*/
        ee_WriteBytes((void*)double_buffer,DOUBLE_ADDR, sizeof(double_buffer));
        /*写入整数数据到地址60*/
        ee_WriteBytes((void*)int_bufffer, LONGINT_ADDR, sizeof(int_bufffer));

        printf("向芯片写入数据:");
        /*打印到串口*/
        for ( k=0; k<7; k++ ) {
            printf("小数tx = %LF\r\n",double_buffer[k]);
            printf("整数tx = %d\r\n",int_bufffer[k]);
        }

        printf("\r\n请复位开发板,以读取数据进行检验\r\n");

    } else {
        printf("\r\n检测到数据标志\r\n");

        /*读取小数数据*/
        ee_ReadBytes((void*)double_buffer, DOUBLE_ADDR, sizeof(double_buffer));

        /*读取整数数据*/
        ee_ReadBytes((void*)int_bufffer, LONGINT_ADDR, sizeof(int_bufffer));

        printf("\r\n从芯片读到数据:\r\n");
        for ( k=0; k<7; k++ ) {
            printf("小数 rx = %LF \r\n",double_buffer[k]);
            printf("整数 rx = %d \r\n",int_bufffer[k]);
        }

    }

    while (1) {
    }
}

23.3.3. 下载验证

用USB线连接开发板“USB TO UART”接口跟电脑,在电脑端打开串口调试助手, 把编译好的程序下载到开发板。在串口调试助手可看到EEPROM测试的调试信息。

EEPROM测试成功